aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/dot_product.bsf
diff options
context:
space:
mode:
Diffstat (limited to 'dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/dot_product.bsf')
-rw-r--r--dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/dot_product.bsf71
1 files changed, 0 insertions, 71 deletions
diff --git a/dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/dot_product.bsf b/dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/dot_product.bsf
deleted file mode 100644
index 8dbba23..0000000
--- a/dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/dot_product.bsf
+++ /dev/null
@@ -1,71 +0,0 @@
-/*
-WARNING: Do NOT edit the input and output ports in this file in a text
-editor if you plan to continue editing the block that represents it in
-the Block Editor! File corruption is VERY likely to occur.
-*/
-/*
-Copyright (C) 1991-2013 Altera Corporation
-Your use of Altera Corporation's design tools, logic functions
-and other software and tools, and its AMPP partner logic
-functions, and any output files from any of the foregoing
-(including device programming or simulation files), and any
-associated documentation or information are expressly subject
-to the terms and conditions of the Altera Program License
-Subscription Agreement, Altera MegaCore Function License
-Agreement, or other applicable license agreement, including,
-without limitation, that your use is for the sole purpose of
-programming logic devices manufactured by Altera and sold by
-Altera or its authorized distributors. Please refer to the
-applicable agreement for further details.
-*/
-(header "symbol" (version "1.1"))
-(symbol
- (rect 16 16 264 160)
- (text "dot_product" (rect 5 0 52 12)(font "Arial" ))
- (text "inst" (rect 8 128 20 140)(font "Arial" ))
- (port
- (pt 0 32)
- (input)
- (text "input_a_rsc_z[7..0]" (rect 0 0 76 12)(font "Arial" ))
- (text "input_a_rsc_z[7..0]" (rect 21 27 97 39)(font "Arial" ))
- (line (pt 0 32)(pt 16 32)(line_width 3))
- )
- (port
- (pt 0 48)
- (input)
- (text "input_b_rsc_z[7..0]" (rect 0 0 76 12)(font "Arial" ))
- (text "input_b_rsc_z[7..0]" (rect 21 43 97 55)(font "Arial" ))
- (line (pt 0 48)(pt 16 48)(line_width 3))
- )
- (port
- (pt 0 64)
- (input)
- (text "clk" (rect 0 0 10 12)(font "Arial" ))
- (text "clk" (rect 21 59 31 71)(font "Arial" ))
- (line (pt 0 64)(pt 16 64)(line_width 1))
- )
- (port
- (pt 0 80)
- (input)
- (text "en" (rect 0 0 9 12)(font "Arial" ))
- (text "en" (rect 21 75 30 87)(font "Arial" ))
- (line (pt 0 80)(pt 16 80)(line_width 1))
- )
- (port
- (pt 0 96)
- (input)
- (text "arst_n" (rect 0 0 25 12)(font "Arial" ))
- (text "arst_n" (rect 21 91 46 103)(font "Arial" ))
- (line (pt 0 96)(pt 16 96)(line_width 1))
- )
- (port
- (pt 248 32)
- (output)
- (text "output_rsc_z[7..0]" (rect 0 0 71 12)(font "Arial" ))
- (text "output_rsc_z[7..0]" (rect 156 27 227 39)(font "Arial" ))
- (line (pt 248 32)(pt 232 32)(line_width 3))
- )
- (drawing
- (rectangle (rect 16 16 232 128)(line_width 1))
- )
-)